------------------------------------------------------------------------------- -- Titre : multiplieur générique -- Projet : ------------------------------------------------------------------------------- -- Fichier : multiplieur_e.vhd -- Auteur : NOUEL Patrice -- Compagnie : ENSEIRB -- Mise a jour : 2001/09/20, 2003 -- Platform : ------------------------------------------------------------------------------- -- Description : les nombres traités sont non signés -- ------------------------------------------------------------------------------- -- Modification history : -- 2001/09/20 : creation ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY multiplieur IS GENERIC ( Nbr_bits : natural := 4); PORT ( SIGNAL op1 : IN std_logic_vector (Nbr_bits-1 DOWNTO 0) ; --premier nombre SIGNAL op2 : IN std_logic_vector (Nbr_bits-1 DOWNTO 0); -- deuxieme nombre SIGNAL init : IN std_ulogic; -- nouveau calcul SIGNAL h : IN STD_ULOGIC; -- horloge SIGNAL result : OUT std_logic_vector(2*Nbr_bits-1 downto 0); -- resultat SIGNAL fin_calcul : OUT STD_ULOGIC); -- indique un résultat disponible END multiplieur;