# horloge NET "clock" LOC = "T9" ; #leds NET "leds<0>" LOC = "K12" ; NET "leds<1>" LOC = "P14" ; NET "leds<2>" LOC = "L12" ; # boutons poussoirs NET "push_button<0>" LOC = "M13" ; NET "push_button<1>" LOC = "M14" ; NET "push_button<2>" LOC = "L13" ; NET "push_button<3>" LOC = "L14" ; # Afficheurs 7 segments NET "seven_seg_display<11>" LOC = "E13" ; NET "seven_seg_display<10>" LOC = "F14" ; NET "seven_seg_display<9>" LOC = "G14" ; NET "seven_seg_display<8>" LOC = "d14" ; NET "seven_seg_display<7>" LOC = "E14" ; NET "seven_seg_display<6>" LOC = "G13" ; NET "seven_seg_display<5>" LOC = "N15" ; NET "seven_seg_display<4>" LOC = "P15" ; NET "seven_seg_display<3>" LOC = "R16" ; NET "seven_seg_display<2>" LOC = "F13" ; NET "seven_seg_display<1>" LOC = "N16" ; NET "seven_seg_display<0>" LOC = "P16" ;