------------------------------------------------------------------------------- -- Title : test d'un PIC 16F84 -- Project : ------------------------------------------------------------------------------- -- File : test_pic.vhd -- Author : <03@KIWI8> -- Company : -- Last update: 2005/02/01 -- Platform : ------------------------------------------------------------------------------- -- Description: Interruption sur timer avec prédivision de T0CKI -- il faut faire un run de 20 uS ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2003/03/05 1.0 03 Created ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity test_pic is end test_pic; architecture behaviour of test_pic is signal Clk : STD_LOGIC := '0'; signal Reset_n : STD_LOGIC := '0'; signal INT : STD_LOGIC := '0'; signal Port_A : STD_LOGIC_VECTOR(7 downto 0); signal Port_B : STD_LOGIC_VECTOR(7 downto 0); constant periode : TIME := 20 ns; -- 50 Mhz begin p1 : entity work.pic generic map ( simulation => true) port map (Clk, Reset_n, int, Port_A, Port_B); Clk <= not Clk after periode ; Reset_n <= '1' after 4*periode; -- bouton poussoir Port_A(0) <= '0', '1' after 500*periode, '0' after 1000*periode ; end;